14 research outputs found

    Performance Aspects of Synthesizable Computing Systems

    Get PDF

    Encapsulated Zosteric Acid Embedded in Poly[3-hydroxyalkanoate] Coatings—Protection against Biofouling

    Get PDF
    Summary : The natural, non-toxic antifouling compound zosteric acid (ZA, p-coumaric acid sulfate) was encapsulated in polystyrene (PS) microcapsules (30 mg ZA /1 g PS) with an efficiency of 30 % via an in-liquid drying process. Electron micrographs showed microcapsules with smooth surfaces and a mean diameter of 200 μm. The FIB method was used to cross-section a microcapsule in order to visualize the inner capsule structure and to localize ZA via element analysis. Coatings of a biocompatible polyester, poly[3-hydroxyalkanoate-co-3-hydroxyalkenoate] (PHAE), were prepared on microscopic slides. These coatings contained dispersed ZA (PHAE/ZA) or ZA-loaded PS microcapsules (PHAE/PS(ZA)). The release of ZA was monitored via conductivy measurements in water and was 4 μgcm-2d-1 for PHAE/ZA and 0.9 μgcm-2d-1 for PHAE/PS(ZA) coatings. To follow the initial steps of biofilm formation, coated slides were exposed to activated sludge and analyzed for cell adhesion with ESEM. ZA was effective during the burst release time of the PHAE/ZA coating, but no significant differences in biofouling were observed after 48 h. This was attributed to the minimal effective release rate of ZA, which is approximately 10 μgcm-2d-

    1

    Get PDF

    Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach

    Get PDF
    Current processors are optimized for average case performance, often leading to a high worst-case execution time (WCET). Many architectural features that increase the average case performance are hard to be modeled for the WCET analysis. In this paper we present Patmos, a processor optimized for low WCET bounds rather than high average case performance. Patmos is a dual-issue, statically scheduled RISC processor. The instruction cache is organized as a method cache and the data cache is organized as a split cache in order to simplify the cache WCET analysis. To fill the dual-issue pipeline with enough useful instructions, Patmos relies on a customized compiler. The compiler also plays a central role in optimizing the application for the WCET instead of average case performance

    A Synthesizable Multicore Platform for Microwave Imaging

    No full text
    corecore